2011年10月6日 星期四

設定Register常用的Code

將某bit設為1
C
flag |= (1 << n);
Verilog
flag[n] = 1'b1;
將某bit設為0
C
flag &= ~(1 << n);
Verilog
flag[n] = 1'b0;
將某bit做toggle (0變1,1變0)
C
flag ^= (1 << n);
Verilog
flag[n] = ~flag[n];
判斷某bit是否為1
C
if ((flag & mask) == mask)
Verilog
if (flag[n])
判斷某bit是否為0
C
if ((flag & mask) != mask))
Verilog
if (~flag[n])

沒有留言:

張貼留言